Part Number Hot Search : 
1A471M 4616DUR ICS1702 MURX0510 ES52F3 RFS46 7C148 MAX27
Product Description
Full Text Search
  inout Datasheet PDF File

For inout Found Datasheets File :: 441    Search Time::1.656ms    
Page :: | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | <14> | 15 |   

    DNM04S0A0S10PFA DNM04S0A0S10PFB DNM04S0A0S10PFC DNM04S0A0R10PFA DNM04S0A0R10PFB DNM04S0A0R10PFC DNL12S0A0R10NFA DNL12S0A

DELTA[Delta Electronics, Inc.]
Part No. DNM04S0A0S10PFA DNM04S0A0S10PFB DNM04S0A0S10PFC DNM04S0A0R10PFA DNM04S0A0R10PFB DNM04S0A0R10PFC DNL12S0A0R10NFA DNL12S0A0R10NFB DNL12S0A0R10NFC DNL04S0A0R10NFA DNL04S0A0R10NFB DNL04S0A0R10NFC DNS12S0A0S10PFC DNL04S0A0R10PFA DNL04S0A0R10PFB DNL04S0A0R10PFC DNL04S0A0S10NFA DNL04S0A0S10NFB DNL04S0A0S10NFC DNL04S0A0S10PFA DNL04S0A0S10PFB DNL04S0A0S10PFC DNL12S0A0R10PFA DNL12S0A0R10PFB DNL12S0A0R10PFC DNL12S0A0S10NFA DNL12S0A0S10NFB DNL12S0A0S10NFC DNL12S0A0S10PFA DNL12S0A0S10PFB DNL12S0A0S10PFC DNM04S0A0R10NFA DNM04S0A0R10NFB DNM04S0A0R10NFC DNM04S0A0S10NFA DNM04S0A0S10NFB DNM04S0A0S10NFC DNM12S0A0R10NFA DNM12S0A0R10NFB DNM12S0A0R10NFC DNM12S0A0R10PFA DNM12S0A0R10PFB DNM12S0A0R10PFC DNM12S0A0S10NFA DNM12S0A0S10NFB DNM12S0A0S10NFC DNM12S0A0S10PFA DNM12S0A0S10PFB DNM12S0A0S10PFC DNS04S0A0R10NFA DNS04S0A0R10NFB DNS04S0A0R10NFC DNS04S0A0R10PFA DNS04S0A0R10PFB DNS04S0A0R10PFC DNS04S0A0S10NFB DNS04S0A0S10NFC DNS04S0A0S10PFA DNS04S0A0S10PFB DNS04S0A0S10PFC DNS12S0A0R10NFA DNS12S0A0R10NFB DNS12S0A0R10NFC DNS12S0A0R10PFA DNS12S0A0R10PFB DNS12S0A0R10PFC DNS12S0A0S10NFA DNS12S0A0S10NFB DNS12S0A0S10NFC DNS12S0A0S10PFA DNS12S0A0S10PFB DNS04S0A0S10NFA
OCR Text ...nt Inrush Transient Recommended inout Fuse OUTPUT CHARACTERISTICS Output Voltage Set Point Output Voltage Adjustable Range Output Voltage Regulation Over Line Over Load Over Temperature Total Output Voltage Range Output Voltage Ripple and N...
Description Delphi DNM, Non-Isolated Point of Load DC/DC Power Modules: 2.8-5.5Vin, 0.75-3.3V/10A out

File Size 829.98K  /  16 Page

View it Online

Download Datasheet





    DNS04S0A0S06PFA DNL04S0A0S16PFD DNL04S0A0R16PFD DNL04S0A0R16NFD DNL04S0A0R10NFD DNS10S0A0S16PFD DNL04S0A0R06NFD DNL04S0A

DELTA[Delta Electronics, Inc.]
Part No. DNS04S0A0S06PFA DNL04S0A0S16PFD DNL04S0A0R16PFD DNL04S0A0R16NFD DNL04S0A0R10NFD DNS10S0A0S16PFD DNL04S0A0R06NFD DNL04S0A0R06PFD DNL04S0A0R10PFD DNL04S0A0S06NFD DNL04S0A0S06PFD DNL04S0A0S10NFD DNL04S0A0S10PFD DNL04S0A0S16NFD DNL10S0A0R06NFD DNL10S0A0R06PFD DNL10S0A0R10NFD DNL10S0A0R10PFD DNL10S0A0R16NFD DNL10S0A0R16PFD DNL10S0A0S06NFD DNL10S0A0S06PFD DNL10S0A0S10NFD DNL10S0A0S10PFD DNL10S0A0S16NFD DNL10S0A0S16PFD DNM04S0A0R06PFD DNM04S0A0R10NFD DNM04S0A0R10PFD DNM04S0A0R16NFD DNM04S0A0R16PFD DNM04S0A0S06NFD DNM04S0A0S06PFD DNM04S0A0S10NFD DNM04S0A0S10PFD DNM04S0A0S16NFD DNM04S0A0S16PFD DNM10S0A0R06NFD DNM10S0A0R06PFD DNM10S0A0R10NFD DNM10S0A0R10PFD DNM10S0A0R16NFD DNM10S0A0R16PFD DNM10S0A0S06NFD DNM10S0A0S06PFD DNM10S0A0S10NFD DNM10S0A0S10PFD DNM10S0A0S16NFD DNM10S0A0S16PFD DNS04S0A0R06NFD DNS04S0A0R06PFD DNS04S0A0R10NFD DNS04S0A0R10PFD DNS04S0A0R16NFD DNS04S0A0R16PFD DNS04S0A0S06NFD DNS04S0A0S06PFD DNS04S0A0S10NFD DNS04S0A0S10PFD DNS04S0A0S16NFD DNS04S0A0S16PFD DNS10S0A0R06NFD DNS10S0A0R06PFD DNS10S0A0R10NFD DNS10S0A0R10PFD DNS10S0A0R16NFD DNS10S0A0R16PFD DNS10S0A0S06NFD DNS10S0A0S06PFD DNS10S0A0S10NFD DNS10S0A0S10PFD DNS10S0A0S16NFD DNM04S0A0R06NFD
OCR Text ...nt Inrush Transient Recommended inout Fuse OUTPUT CHARACTERISTICS Output Voltage Set Point Output Voltage Adjustable Range Output Voltage Regulation Over Line Over Load Over Temperature Total Output Voltage Range Output Voltage Ripple and N...
Description Delphi DNS, Non-Isolated Point of Load DC/DC Power Modules: 2.8-5.5Vin, 0.75-3.3V/6Aout

File Size 762.44K  /  17 Page

View it Online

Download Datasheet

    DNT04S0A0S05NFA DNT04S0A0R03NFA DNT04 DNT04S0A0R05NFA DNT04S0A0S03NFA

Delta Electronics, Inc.
Part No. DNT04S0A0S05NFA DNT04S0A0R03NFA DNT04 DNT04S0A0R05NFA DNT04S0A0S03NFA
OCR Text ...nt Inrush Transient Recommended inout Fuse OUTPUT CHARACTERISTICS Output Voltage Set Point Output Voltage Adjustable Range Output Voltage Regulation Over Line Over Load Over Temperature Total Output Voltage Range Output Voltage Ripple and N...
Description Non-Isolated Point of Load DC/DC Power Modules: 2.4~5.5Vin, 0.75~3.3Vo, 3A out

File Size 852.38K  /  13 Page

View it Online

Download Datasheet

    PA12M 883

APEX[Apex Microtechnology]
Part No. PA12M 883
OCR Text ...voltage Input bias current, +IN inout bias current,-IN Input offset current Quiescent current Input offset voltage Input offset voltage Input offset voltage Input bias current, +IN Input bias current,-IN Input offset current Quiescent curre...
Description TABLE 4 GROUP A INSPECTION

File Size 55.49K  /  1 Page

View it Online

Download Datasheet

    PA09M 883

ANPEC[Anpec Electronics Coropration]
Part No. PA09M 883
OCR Text ...Voltage Input Bias Current, +IN inout Bias Current, -IN Input Offset Current Quiescent Current Input Offset Voltage Input Offset Voltage Input Offset Voltage Input Bias Current, +IN Input BiasCurrent, -IN Input Offset Current Quiescent Curr...
Description TABLE 4 GROUP A INSPECTION

File Size 55.18K  /  1 Page

View it Online

Download Datasheet

    AL300

ETC
Part No. AL300
OCR Text ...RDN OUT (CMOS) 41 IN (CMOSs) inout (COMSsu) OUT (CMOS) 81 OUT (CMOS) 82 OUT (CMOS) 83 42 43 Interrupt Request, active high I2C Serial Clock Input I2C Serial Data Input/Output General Purpose Output Connected to Register 0x1B bit 2 Gen...
Description LCD Monitor Controller

File Size 676.40K  /  64 Page

View it Online

Download Datasheet

    K4J55323QG K4J55323QG-BC12 K4J55323QG-BC14 K4J55323QG-BC16 K4J55323QG-BC20

Samsung semiconductor
Part No. K4J55323QG K4J55323QG-BC12 K4J55323QG-BC14 K4J55323QG-BC16 K4J55323QG-BC20
OCR Text .... WDQS is center-aligned to the inout data. No Connect: No internal electrical connection is present. CKE Input CS RAS, CAS, WE DM0 ~DM3 BA0,BA1 Input Input Input Input A0 ~ A11 Input DQ0 ~ DQ31 RDQS0 ~ RDQS3 WDQS0 ~ WD...
Description 256Mbit GDDR3 SDRAM

File Size 1,355.30K  /  53 Page

View it Online

Download Datasheet

    MSC8101

Freescale Semiconductor, Inc
Part No. MSC8101
OCR Text ...te Added: 9/6/2000 Description: inout pin IRQ7_INTOUT should be open-drain but not implemented as one. Workaround: Buffer INTOUT on the board when it is wire ORed. Fix Plan: Rev. A 0K40A 0K40A QSIU3 0K40A QSIU4 0K40A QSIU6 ...
Description Silicon Errata for the MSC8101 Processor, Mask 0K40A

File Size 164.04K  /  28 Page

View it Online

Download Datasheet

    KM416S1021C KM416S1021CT-G7 KM416S1021CT-G8 KM416S1021CT-GS

Samsung Semiconductor Co., Ltd.
SAMSUNG SEMICONDUCTOR CO. LTD.
Part No. KM416S1021C KM416S1021CT-G7 KM416S1021CT-G8 KM416S1021CT-GS
OCR Text ...Input signal maximum peak swing inout signal minimum slew rate AC input levels (Vih/Vil) Input timing measurement reference level Output timing measurement reference level Output load condition Vtt = 0.45 * VDDQ Preliminary CMOS SDRAM ...
Description 512K x 16Bit x 2 Banks Synchronous DRAM with SSTL interface 12k × 16 × 2银行同步DRAM接口的萨里卫星技术有限公

File Size 78.45K  /  8 Page

View it Online

Download Datasheet

    ROHM[Rohm]
Part No. BU4S66
OCR Text ...h attenuation Fig. 8 Control inout crosstalk *External dimensions (Units: mm) 2.9 0.2 (5) (4) 2.8 0.3 1.6 0.2 0.5 (1) (2) (3) 0.4 0.1 0.1 0.1 + 0.05 - 0.95 1.1 0.2 0.05 SMP5 4
Description Single analog switch

File Size 54.16K  /  4 Page

View it Online

Download Datasheet

For inout Found Datasheets File :: 441    Search Time::1.656ms    
Page :: | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | <14> | 15 |   

▲Up To Search▲

 




Price and Availability




 
Price & Availability of inout

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X
0.52400302886963