Part Number Hot Search : 
D1117 PT40575 20100CT C7V5S NMH4812D RDA1U4 GL064N90 MAX5887
Product Description
Full Text Search
 

To Download QL5130 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
 QL5130 QuickPCI Data Sheet
* * * * * * 33 MHz/32-Bit PCI Target with Embedded
Programmable Logic and Dual Port SRAM
Device Highlights
High Performance PCI Controller
* 32-bit/33 MHz PCI Target * Zero-wait-state PCI Target provides 132 MBps transfer rates * Programmable backend interface to optional local processor * Independent PCI bus (33 MHz) and local bus (up to 160 MHz) clocks * Fully customizable PCI configuration space * Configurable FIFOs with depths up to 128 * Reference design with driver code (Win 95/98/2000/NT4.0) available * PCI v2.2 compliant * Supports Type 0 configuration cycles * 3.3 V, 5 V tolerant PCI signaling supports universal PCI adapter designs * 3.3 V CMOS in 144-pin TQFP, 208-pin PQFP and 256-PBGA * Supports endian conversions * Unlimited continuous burst transfers supported
Programmable Logic
* 57 K system gates/619 logic cells * 13,824 RAM bits, up to 157 I/O pins * 250 MHz 16-bit counters, 275 MHz datapaths, 160 MHz FIFOs * All backend interface and glue-logic can be implemented on chip * Six 64-deep FIFOs (two RAMs each) or three 128-deep FIFOs (four RAMs each) or a combination that requires twelve or less QuickLogic RAM modules * Two 32-bit busses interface between the PCI controller and the programmable logic Figure 1: QL5130 Block Diagram
PCI Bus 33/66 MHz/32 bits (data and address)
High Speed Data Path
Target Controller
32 bit Interface Programmable Logic
Extendable PCI Functionality
* Support for configuration space from 0x40 to 0x3FF * Power management, compact PCI, hotswap/hot-plug compatible * PCI v2.2 Power Management Spec compatible * PCI v2.2 Vital Product Data (VPD) configuration support
157 User I/O
160 MHz FIFOs
PCI Bus
High Speed Logic Cells (57 K Gates)
Config. Space
(c) 2004 QuickLogic Corporation
www.quicklogic.com * *
* * * *
1
QL5130 QuickPCI Data Sheet Rev. C
Architecture Overview
The QL5130 device in the QuickLogic QuickPCI Embedded Standard Product (ESP) family provides a complete and customizable PCI interface solution combined with 57,000 system gates of programmable logic. This device eliminates any need for the designer to worry about PCI bus compliance, yet allows for the maximum 32-bit PCI bus bandwidth (132 MBps). The programmable logic portion of the device contains 619 QuickLogic logic cells and 12 QuickLogic dualport RAM blocks. These configurable RAM blocks can be configured in many width/depth combinations. They can also be combined with logic cells to form FIFOs, or be initialized via Serial EEPROM on power-up and used as ROMs. See RAM Module Features on page 7 for more information. The QL5130 device meets PCI v2.2 electrical and timing specifications and has been fully hardware-tested. The QL5130 device features 3.3 V operation with multi-volt compatible I/Os. Therefor, it can easily operate in 3.3 V systems and is fully compatible with 3.3 V, 5 V and Universal PCI card development.
PCI Interface
The PCI target is PCI v2.2 compliant and supports 32-bit/33 MHz operation. It is capable of zero wait-state infinite-length read and write transactions (132 MBps). Transaction control is available via the user interface as retries, wait-states, or premature transaction termination may be induced if necessary. The PCI configuration registers are implemented in the programmable region of the device, leaving the designer with ample flexibility to support optional features. The QL5130 device supports maximum 32-bit PCI transfer rates, so many applications exist which are ideally suited to the device's high performance. High-speed data communications, telecommunications, and computing systems are just a few of the broad range of applications areas that can benefit from the high speed PCI interface and programmable logic.
PCI Configuration Space
The QL5130 supports customization of required Configuration Registers such as Vendor ID, Device ID, Subsystem Vendor ID, etc. QuickLogic provides a reference Configuration Space design block. Since the PCI Configuration Registers are implemented in the programmable region of the QL5130, the designer can implement optional features such as multiple 32-bit Base Address Registers (BARs) and multiple functions, as well as support the following PCI commands: I/O Read, I/O Write, Memory Read, Memory Write, Config Read (required), Configuration Write (required), Memory Read Multiple, Memory Read Line, and Memory Write and Invalidate. Additionally, the device supports Extended Capabilities Registers, Expansion ROMs, power management, CompactPCI hot-plug/hot-swap, Vital Product Data, I20, and mailbox registers.
Address and Command Decode
PCI address and command decoding is performed by logic in the programmable section of the device. This allows support for any size of memory or I/O space for backend logic. It also allows the designer to implement any subset of the PCI commands supported by the QL5130. QuickLogic provides a reference Address Register/Counter and Command Decode block.
2 * www.quicklogic.com *
* * *
*
(c) 2004 QuickLogic Corporation
QL5130 QuickPCI Data Sheet Rev. C
Architecture Overview
The RAM modules in the programmable region can be used to create configurable 32-bit FIFOs. Each 32-bit FIFO can be independently assigned to Target address space for read pre-fetch or write posting. Using the 12 QuickLogic RAM modules, the combinations include: * 6 independent 64-deep FIFO (2 RAMs each), or * 3 independent 128-deep FIFOs (4 RAMs each), or * a combination of the above that requires 12 or less QuickLogic RAM Modules Asynchronous FIFOs (with independent read and write clocks) are also supported. QuickWorks SpDE has a Creation Wizard that is used to create FIFOs.
(c) 2004 QuickLogic Corporation
www.quicklogic.com * *
* * * *
3
QL5130 QuickPCI Data Sheet Rev. C
Internal PCI Interface
The symbol used to connect to the PCI interface of the QL5130 is shown in Figure 2. This symbol is used in schematic or mixed schematic/HDL design flows in the QuickWorks software. Figure 2: PCI Interface Symbol
4 * www.quicklogic.com *
* * *
*
(c) 2004 QuickLogic Corporation
QL5130 QuickPCI Data Sheet Rev. C
Internal Interface Signal Descriptions
Signals used to connect to the PCI interface in the QL5130 are described in Table 1. The direction of the signal indicates if it is an input provided by the local interface (I) or an output provided by the PCI interface (O). Table 1: QL5130 PCI32T Target Interface Signals
Signal Type Description
Target address and target write data. During all target accesses, the address is presented on Usr_Addr_WrData[31:0] at the same time Usr_Adr_Valid is active. During target write transactions, this port also presents valid write data to the PCI configuration space or user logic when Usr_Adr_Inc is active. During master read transactions, this port also presents valid data read from PCI to the backend. This is the registered version of the PCI AD[31:0] signal. PCI command and byte enables. During target accesses, the PCI command is presented on Usr_CBE[3:0] at the same time Usr_Adr_Valid is active. This port also presents active-low byte enables to the PCI configuration space or user logic. This is the registered version of the PCI CBEN[3:0] signal. Indicates the beginning of a PCI transaction, and that a target address is valid on Usr_Addr_WrData[31:0] and the PCI command is valid on Usr_CBE[3:0]. When this signal is active, the target address must be latched and decoded to determine if this address belongs to the device memory or I/O space. Also, the PCI command must be decoded to determine the type of PCI transaction. On subsequent clocks of a target access, this signal is low, indicating that the address is no longer on Usr_Addr_WrData[31:0]. This signal, when asserted, indicates that the target address should be incremented, because the previous data transfer has completed. During burst target accesses, the target address is only presented to the backend at the beginning of the transaction when Usr_Adr_Valid is active, and must therefore be latched and incremented (by 4) for subsequent data transfers. For target write transactions, Usr_Adr_Inc indicates valid data on Usr_Addr_WrData[31:0] that must be accepted by the backend logic (regardless of the state of Usr_Rdy). For read transactions, Usr_Adr_Inc signals to the backend that the core has presented the read data on the PCI bus (has asserted TRDYN). This signal must be asserted by the backend when a user read command (e.g., Memory Read, Memory Read Line, Memory Read Multiple, I/O Read, etc.) has been decoded from Usr_CBE[3:0]. It is acknowledged by the core only when Usr_Adr_Valid is active. This signal must be asserted by the backend when a user write command (e.g., Memory Write, Memory Write and Invalidate, I/O Write, etc.) has been decoded from Usr_CBE[3:0]. It is acknowledged by the core only when Usr_Adr_Valid is active. This signal must be driven active when the address on Usr_Addr_WrData[31:0] has been decoded and determined to be within the address space of the device. Usr_Addr_WrData[31:0] must be compared to each of the valid Base Address Registers in the PCI configuration space. Also, this signal must be gated by the Memory Access Enable or I/O Access Enable registers in the PCI configuration space (Command Register bits 1 or 0 at offset 04h). This signal is acknowledged by the core only when Usr_Adr_Valid is active. This signal is active throughout a "user write" transaction, which has been decoded by Usr_WrDecode at the beginning of the transaction. The write strobe for individual DWORDs of data (on Usr_Addr_WrData[31:0]) during a user write transaction should be generated by logically ANDing this signal with Usr_Adr_Inc. This signal is active throughout a "configuration write" transaction. The write strobe for individual DWORDs of data (on Usr_Addr_WrData[31:0]) during a configuration write transaction should be generated by logically ANDing this signal with Usr_Adr_Inc. Data from the PCI configuration registers, required to be presented during PCI configuration reads. Data from the backend, required to be presented during user reads. Bits 6 from the Command Register in the PCI configuration space (offset 04h). Parity Error Response. If high, the core uses PERRN to report data parity errors. Otherwise the core always tristates PERRN. www.quicklogic.com * *
Usr_Addr_WrData[31:0]
O
Usr_CBE[3:0]
O
Usr_Adr_Valid
O
Usr_Adr_Inc
O
Usr_RdDecode
I
Usr_WrDecode
I
Usr_Select
I
Usr_Write
O
Cfg_Write Cfg_RdData[31:0] Usr_RdData[31:0] Cfg_CmdReg6
O I I I
* * * *
(c) 2004 QuickLogic Corporation
5
QL5130 QuickPCI Data Sheet Rev. C
Table 1: QL5130 PCI32T Target Interface Signals (Continued)
Signal
Cfg_CmdReg8
Type
I
Description
Bits 8 from the Command Register in the PCI configuration space (offset 04h). SERRN Enable. If high, the core uses SERRN to report address parity errors if Cfg_CmdReg6 is high. Otherwise the core always tristates SERRN. Parity error detected on the PCI bus. When this signal is active, bit 15 of the Status Register must be set in the PCI configuration space (offset 04h). System error asserted on the PCI bus. When this signal is active, the Signaled System Error bit, bit 14 of the Status Register, must be set in the PCI configuration space (offset 04h). Copy of the TRDYN signal as driven by the PCI target interface. Valid only within target accesses to the core. Copy of the STOPN signal as driven by the PCI target interface. Valid only within target accesses to the core. Inverted copy of the DEVSELN signal as driven by the PCI target interface. Valid only within target accesses to the core. Active one clock cycle after the last data phase occurs on PCI. Active only for one clock cycle. Used to delay (add wait states to) a target PCI transaction when the backend needs additional time to provide data (read) or accept data (write). Subject to PCI latency restrictions if PCI compliance is needed. Used to prematurely stop a PCI target access.
Cfg_PERR_Det Cfg_SERR_Sig Usr_TRDYN Usr_STOPN Usr_DEVSEL Usr_Last_Cycle_D1 Usr_Rdy Usr_Stop
O O O O O O I I
Array of Logic Cells
A wide range of additional features complements the QL5130 device. The FPGA portion of the device is 5 V and 3.3 V PCI-compliant and can perform high-speed logic functions such as 160 MHz FIFOs. I/O pins provide individually controlled output enables, dedicated input/feedback registers, and full JTAG capability for boundary scan and test. In addition, the QL5130 device provides the benefits of nonvolatility, high design security, immediate functionality on power-up, and a single chip solution. The QL5130 programmable logic architecture consists of an array of user-configurable logic building blocks, called logic cells, set beneath a grid of metal wiring channels similar to those of a gate array (see Figure 3). Through ViaLink(R) elements located at the wire intersections, the output(s) of any cell may be programmed to connect to the input(s) of any other cell. Using the programmable logic in the QL5130, designers can quickly and easily customize their "backend" design for any number of applications.
6 * www.quicklogic.com *
* * *
*
(c) 2004 QuickLogic Corporation
QL5130 QuickPCI Data Sheet Rev. C
Figure 3: Logic Cell
QS A1 A2 A3 A4 A5 A6 OS OP B1 B2 C1 C2 MP MS D1 D2 E1 E2 NP NS F1 F2 F3 F4 F5 F6 QC QR
AZ
OZ QZ
NZ
FZ
RAM Module Features
The QL5130 device has twelve 1,152-bit RAM modules, for a total of 13,824 RAM bits. Using two "mode" pins, designers can configure each module into 64 (deep) x18 (wide), 128x9, 256x4, or 512x2 blocks (see Figure 4). The blocks are also easily cascadable to increase their effective width or depth. See Table 2 for RAM mode configurations. Figure 4: RAM Module
RAM Module
MODE[1:0] WA[a:0] WD[w:0] ASYNCRD RA[a:0] RD[w:0]
WE WCLK
RE RCLK
Table 2: RAM Configurations
Mode 64x18 128x9 256x4 512x2 Address Buses [a:0] [5:0] [6:0] [7:0] [8:0] Data Buses [w:0] [17:0] [8:0] [3:0] [1:0]
(c) 2004 QuickLogic Corporation
www.quicklogic.com * *
* * * *
7
QL5130 QuickPCI Data Sheet Rev. C
The RAM modules are dual-ported, with completely independent READ and WRITE ports and separate READ and WRITE clocks. The READ ports support asynchronous and synchronous operation, while the WRITE ports support synchronous operation. Each port has 18 data lines and 9 address lines, allowing word lengths of up to 18 bits and address spaces of up to 512 words. Depending on the mode selected, however, some higher order data or address lines may not be used. The Write Enable (WE) line acts as a clock enable for synchronous write operation. The Read Enable (RE) acts as a clock enable for synchronous READ operation (ASYNCRD input low), or as a flow-through enable for asynchronous READ operation (ASYNCRD input high). Designers can cascade multiple RAM modules to increase the depth or width allowed in single modules by connecting corresponding address lines together and dividing the words between modules. This approach allows up to 512-deep configurations as large as 28 bits wide in the QL5130 device. A similar technique can be used to create depths greater than 512 words. In this case, address signals higher than the eighth bit are encoded onto the write enable (WE) input for WRITE operations. The READ data outputs are multiplexed together using encoded higher READ address bits for the multiplexer SELECT signals.
JTAG Support
JTAG pins support IEEE standard 1149.1a to provide boundary scan capability for the QL5130 device. Six pins are dedicated to JTAG and programming functions on each QL5130 device, and are unavailable for general design input and output signals. TDI, TDO, TCK, TMS, and TRSTB are JTAG pins. A sixth pin, STM, is used only for programming.
Development Tools
Software support for the QL5130 device is available through the QuickWorks development package. QuickWorks is fully integrated into the Windows 98, 2000, NT, ME and XP operating systems. It provides design, layout, pre- and post-layout simulation and external stimulus design tools as shown in Figure 5. The program that links all these applications together and acts as the design flow manager is called Seamless pASIC Design Environment (SpDE). The term "pASIC" is a registered trademark of QuickLogic Corporation and refers to a QuickLogic FPGA, or "programmable ASIC." QuickWorks can be used to perform the following functions in the design process: * * * * * Design Pre-layout Simulation Synthesis Placement and Optimization Post-layout Simulation
8 * www.quicklogic.com *
* * *
*
(c) 2004 QuickLogic Corporation
QL5130 QuickPCI Data Sheet Rev. C
Figure 5: QuickWorks Design Flow
The UNIX-based QuickTools package is a subset of QuickWorks and provides a solution for designers who use schematic-only design flow third-party tools for design entry, synthesis, or simulation. QuickTools reads EDIF netlists and provides support for all QuickLogic devices. QuickTools also supports a wide range of thirdparty modeling and simulation tools.
(c) 2004 QuickLogic Corporation www.quicklogic.com * *
* * * *
9
QL5130 QuickPCI Data Sheet Rev. C
QL5130 External Device Pins
Table 3 describes the different types of devices pins. Table 4 describes the external pins on the QL5130 device, some of which connect to the PCI bus, and others that are programmable as user IO. Table 3: Pin Types
Type IN OUT T/S S/T/S O/D Input. A standard input-only signal Totem pole output. A standard active output driver Tri-state. A bi-directional, tri-state input/output pin Sustained Tri-state. An active low tri-state signal driven by one PCI agent at a time. It must be driven high for at least one clock before being disabled (set to Hi-Z). A pull-up needs to be provided by the PCI system central resource to sustain the inactive state once the active driver has released the signal. Open Drain. Allows multiple devices to share this pin as a wired-or. Description
10 * www.quicklogic.com *
* * *
*
(c) 2004 QuickLogic Corporation
QL5130 QuickPCI Data Sheet Rev. C
Table 4: QL5130 External Device Pins
Pin/Bus Name VCC VCCIO GND I/O GLCK/I ACLK/I TDI/RSIa TDO/RCOa TCK TMS TRSTB/RROa STM AD[31:0] CBEN[3:0] Type IN IN IN T/S IN IN IN OUT IN IN IN IN T/S T/S Supply pin. Tie to 3.3V supply. Supply pin for I/O. Set to 3.3V for 3.3V I/O, 5V for 5.0V compliant I/O Ground pin. Tie to GND on the PCB. Programmable Input/Output/Tri-State/Bi-directional Pin. Programmable Global Network or Input-only pin. Tie to VCC or GND if unused. Programmable Array Network or Input-only pin. Tie to VCC or GND if unused. JTAG Data In/Ram Init. Serial Data In. Tie to VCC if unused. Connect to Serial EPROM data for RAM init. JTAG Data Out/Ram Init Clock. Leave unconnected if unused. Connect to Serial EPROM clock for RAM init. JTAG Clock. Tie to GND if unused. JTAG Test Mode Select. Tie to VCC if unused. JTAG Reset/RAM Init. Reset Out. Tie to GND if unused. Connect to Serial EPROM reset for RAM init. QuickLogic Reserved pin. Tie to GND on the PCB. PCI Address and Data: 32 bit multiplexed address/data bus. PCI Bus Command and Byte Enables: Multiplexed bus which contains byte enables for AD[31:0] or the Bus Command during the address phase of a PCI transaction. PCI Parity: Even Parity across AD[31:0] and C/BEN[3:0] busses. Driven one clock after address or data phases. Master drives PAR on address cycles and PCI writes. The Target drives PAR on PCI reads. PCI Cycle Frame: Driven active by current PCI Master during a PCI transaction. Driven low to indicate the address cycle, driven high at the end of the transaction. PCI System Clock Input. PCI System Reset Input PCI Data Parity Error. Driven active by the initiator or target two clock cycles after a data parity error is detected on the AD and C/BEN busses. PCI System Error: Driven active when an address cycle parity error, data parity error during a special cycle, or other catastrophic error is detected. PCI Initialization Device Select. Use to select a specific PCI Agent during System Initialization. PCI Initiator Ready. Indicates the Initiator's ability to complete a read or write transaction. Data transfer occurs only on clock cycles where both IRDYN and TRDYN are active. PCI Target Ready. Indicates the Target's ability to complete a read or write transaction. Data transfer occurs only on clock cycles where both IRDYN and TRDYN are active. Function
PAR
T/S
FRAMEN DEVSELN CLK RSTN PERRN SERRN IDSEL IRDYN TRDYN STOPN
S/T/S
S/T/S PCI Device Select. Driven by a Target that has decoded a valid base address. IN IN S/T/S O/D IN S/T/S S/T/S
S/T/S PCI Stop. Used by a PCI Target to end a burst transaction.
a. See Quick Note 65 at http://quicklogic.com/images/quicknote65.pdf for information on RAM initialization.
(c) 2004 QuickLogic Corporation
www.quicklogic.com * *
* * * *
11
QL5130 QuickPCI Data Sheet Rev. C
Electrical Specifications
DC Characteristics
The DC Specifications are provided in Table 5 through Table 7. Table 5: Absolute Maximum Ratings
Parameter VCC Voltage VCCIO Voltage Input Voltage Latch-up Immunity Value -0.5 V to 4.6 V -0.5 V to 7.0 V -0.5 V to VCCIO + 0.5 V 200 mA Parameter DC Input Current ESD Pad Protection Storage Temperature Lead Temperature Value 20 mA 2000 V -65C to + 150C 300 C
Table 6: Operating Range
Symbol VCC VCCIO TA K Parameter Supply Voltage I/O Input Tolerance Voltage Ambient Temperature Delay Factor -A Speed Grade Industrial Min 3.0 3.0 -40 0.43 Max 3.6 5.5 85 0.90 Commercial Min 3.0 3.0 0 0.46 Max 3.6 5.25 70 0.88 V V C n/a Unit
Table 7: DC Characteristics
Symbol VIH VIL VOH VOL Il IOZ CI IOS ICC ICCIO Parameter Input HIGH Voltage Input LOW Voltage Output HIGH Voltage Output LOW Voltage I or I/O Input Leakage Current 3-State Output Leakage Current I/O Input Capacitancea Output Short Circuit Currentb D.C. Supply Currentc D.C. Supply Current on VCCIO IOH = -12 mA IOH = -500 A IOL = 16 mA IOL = 1.5 mA VI = VCCIO or GND VI = VCCIO or GND VO = GND VO = VCC VI.VIO = VCCIO or GND -10 -10 -15 40 0.50 typ. 0 Conditions Min 0.5 VCC -0.5 2.4 0.9 VCC 0.45 0.1 VCC 10 10 10 -180 210 2 100 Max VCCIO+ 0.5 0.3 VCC Units V V V V V V A A pF mA mA mA A
a. Capacitance is sample tested only. Clock pins are 12 pF maximum. b. Only one output at a time. Duration should not exceed 30 seconds. c. For -A commercial grade device only. Maximum ICC is 3 mA for all industrial grade devices. For AC conditions, contact QuickLogic Customer Engineering. * * * *
12 * www.quicklogic.com *
(c) 2004 QuickLogic Corporation
QL5130 QuickPCI Data Sheet Rev. C
AC Characteristics
The AC Specifications (at VCC = 3.3 V, TA = 25 C (K = 1.00)) are provided in Table 8 through Table 15. (To calculate delays, multiply the appropriate K factor in Table 6 operating ranges by the following numbers.) Table 8: Logic Cells
Symbol Parameter 1 tPD tSU tH tCLK tCWHI tCWLO tSET tRESET tSW tRW Combinatorial Delay of the longest path: time taken by the combinatorial circuit to outputb Setup time: time the synchronous input of the flip-flop must be stable before the active clock edgeb Hold time: time the synchronous input of the flip-flop must be stable after the active clock edge Clock-to-Q delay: the amount of time taken by the flipflop to output after the active clock edge. Clock High Time: required minimum time the clock stays high Clock Low Time: required minimum time that the clock stays low Set Delay: time between when the flip-flop is "set" (high) and when the output is consequently "set" (high) Reset Delay: time between when the flip-flop is "reset" (low) and when the output is consequently "reset" (low) Set Width: time that the SET signal must remain high/low Reset Width: time that the RESET signal must remain high/low 1.4 1.7 0.0 0.7 1.2 1.2 1.0 0.8 1.9 1.8 Propagation Delays (ns) Fanouta 2 1.7 1.7 0.0 1.0 1.2 1.2 1.3 1.1 1.9 1.8 3 1.9 1.7 0.0 1.2 1.2 1.2 1.5 1.3 1.9 1.8 4 2.2 1.7 0.0 1.5 1.2 1.2 1.8 1.6 1.9 1.8 5 3.2 1.7 0.0 2.5 1.2 1.2 2.8 2.6 1.9 1.8
a. Stated timing for worst case Propagation Delay over process variation at VCC=3.3 V and TA=25xC. Multiply by the appropriate Delay Factor, K, for speed grade, voltage and temperature settings as specified in the Operating Range. b. These limits are derived from a representative selection of the slowest paths through the QuickRAM logic cell including typical net delays. Worst case delay values for specific paths should be determined from timing analysis of your particular design.
(c) 2004 QuickLogic Corporation
www.quicklogic.com * *
* * * *
13
QL5130 QuickPCI Data Sheet Rev. C
Table 9: RAM Cell Synchronous Write Timing
Symbol Parameter 1 tSWA WA setup time to WCLK: time the WRITE ADDRESS must be stable before the active edge of the WRITE CLOCK WA hold time to WCLK: time the WRITE ADDRESS must be stable after the active edge of the WRITE CLOCK WD setup time to WCLK: time the WRITE DATA must be stable before the active edge of the WRITE CLOCK WD hold time to WCLK: time the WRITE DATA must be stable after the active edge of the WRITE CLOCK WE setup time to WCLK: time the WRITE ENABLE must be stable before the active edge of the WRITE CLOCK WE hold time to WCLK: time the WRITE ENABLE must be stable after the active edge of the WRITE CLOCK WCLK to RD (WA = RA): time between the active WRITE CLOCK edge and the time when the data is available at RD 1.0 Propagation Delays (ns) Fanouta 2 1.0 3 1.0 4 1.0 5 1.0
tHWA
0.0
0.0
0.0
0.0
0.0
tSWD tHWD tSWE
1.0
1.0
1.0
1.0
1.0
0.0
0.0
0.0
0.0
0.0
1.0
1.0
1.0
1.0
1.0
tHWE
0.0
0.0
0.0
0.0
0.0
tWCRD
5.0
5.3
5.6
5.9
7.1
a. Stated timing for worst case Propagation Delay over process variation at VCC=3.3 V and TA=25xC. Multiply by the appropriate Delay Factor, K, for speed grade, voltage and temperature settings as specified in the Operating Range.
Table 10: RAM Cell Synchronous Read Timing
Symbol Parameter 1 tSRA tHRA tSRE tHRE tRCRD RA setup time to RCLK: time the READ ADDRESS must be stable before the active edge of the READ CLOCK RA hold time to RCLK: time the READ ADDRESS must be stable after the active edge of the READ CLOCK RE setup time to RCLK: time the READ ENABLE must be stable before the active edge of the READ CLOCK RE hold time to RCLK: time the READ ENABLE must be stable after the active edge of the READ CLOCK RCLK to RD: time between the active READ CLOCK edge and the time when the data is available at RDa 1.0 0.0 1.0 0.0 4.0 Propagation Delays (ns) Fanout 2 1.0 0.0 1.0 0.0 4.3 3 1.0 0.0 1.0 0.0 4.6 4 1.0 0.0 1.0 0.0 4.9 5 1.0 0.0 1.0 0.0 6.1
a. These limits are derived from a representative selection of the slowest paths through the QuickRAM logic cell including typical net delays. Worst case delay values for specific paths should be determined from timing analysis of a particular design.
14 * www.quicklogic.com *
* * *
*
(c) 2004 QuickLogic Corporation
QL5130 QuickPCI Data Sheet Rev. C
Table 11: RAM Cell Synchronous Read Timing
Symbol Parameter 1 rPDRD RA to RD: time between when the READ ADDRESS is input and when the DATA is outputa 3.0 Propagation Delays (ns) Fanout 2 3.3 3 3.6 4 3.9 5 5.1
a. These limits are derived from a representative selection of the slowest paths through the QuickRAM logic cell including typical net delays. Worst case delay values for specific paths should be determined from timing analysis of a particular design.
Table 12: Input-Only Cells
Symbol tIN tINI tISU Parameter 1 High drive input delay High drive input, inverting delay Input register setup time: time the synchronous input of the flip-flop must be stable before the active clock edge Input register hold time: time the synchronous input of the flip-flop must be stable after the active clock edge Input register clock-to-Q Input register reset delay: time between when the flip-flop is "reset"(low) and when the output is consequently "reset" (low) Input register clock enable setup time: time "enable" must be stable before the active clock edge Input register clock enable hold time: time "enable" must be stable after the active clock edge 1.5 1.6 3.1 2 1.6 1.7 3.1 Propagation Delays (ns) Fanouta 3 1.8 1.9 3.1 4 1.9 2.0 3.1 8 2.4 2.5 3.1 12 2.9 3.0 3.1 24 4.4 4.5 3.1
tIH tICLK tIRST tIESU tIEH
0.0 0.7 0.6
0.0 0.8 0.7
0.0 1.0 0.9
0.0 1.1 1.0
0.0 1.6 1.5
0.0 2.1 2.0
0.0 3.6 3.5
2.3 0.0
2.3 0.0
2.3 0.0
2.3 0.0
2.3 0.0
2.3 0.0
2.3 0.0
a. These limits are derived from a representative selection of the slowest paths through the QuickRAM logic cell including typical net delays. Worst case delay values for specific paths should be determined from timing analysis of a particular design.
(c) 2004 QuickLogic Corporation
www.quicklogic.com * *
* * * *
15
QL5130 QuickPCI Data Sheet Rev. C
Table 13: Clock Cells
Symbol tACK tGCKP tGCKB Array clock delay Global clock pin delay Global clock buffer delay Parameter 1 1.2 0.7 0.8 2 1.2 0.7 0.8 Propagation Delays (ns) Fanouta 3 1.3 0.7 0.9 4 1.3 0.7 0.9 8 1.5 0.7 1.1 10 1.6 0.7 1.2 11 1.7 0.7 1.3
a. The array distributed networks consist of 40 half columns and the global distributed networks consist of 44 half columns, each driven by an independent buffer. The number of half columns used does not affect clock buffer delay. The array clock has up to 8 loads per half column. The global clock has up to 11 loads per half column.
Table 14: I/O Cell Input Delays
Symbol tI/O tISU tIH tIOCLK tIORST tIESU tIEH Parameter 1 Input delay (bidirectional pad) Input register setup time: time the synchronous input of the flip-flop must be stable before the active clock edge Input register hold time: time the synchronous input of the flip-flop must be stable after the active clock edge Input register clock-to-Q Input register reset delay: time between when the flip-flop is "reset"(low) and when the output is consequently "reset" (low) Input register clock enable setup time: time "enable" must be stable before the active clock edge Input register clock enable hold time: time "enable" must be stable after the active clock edge 1.3 3.1 0.0 0.7 0.6 Propagation Delays (ns) Fanouta 2 1.6 3.1 0.0 1.0 0.9 3 1.8 3.1 0.0 1.2 1.1 4 2.1 3.1 0.0 1.5 1.4 8 3.1 3.1 0.0 2.5 2.4 10 3.6 3.1 0.0 3.0 2.9
2.3 0.0
2.3 0.0
2.3 0.0
2.3 0.0
2.3 0.0
2.3 0.0
a. These limits are derived from a representative selection of the slowest paths through the QuickRAM logic cell including typical net delays. Worst case delay values for specific paths should be determined from timing analysis of a particular design.
16 * www.quicklogic.com *
* * *
*
(c) 2004 QuickLogic Corporation
QL5130 QuickPCI Data Sheet Rev. C
Table 15: I/O Cell Output Delays
Symbol tOUTLH tOUTHL tPZH tPZL tPHZ tPLZ Parameter Output Delay low to high (90% of H) Output Delay high to low (10% of L) Output Delay tri-state to high (90% of H) Output Delay tri-state to low (10% of L) Output Delay high to tri-Statea Output Delay low to tri-Statea Propagation Delays (ns) Output Load Capacitance (pF) 30 2.1 2.2 1.2 1.6 2.0 1.2 50 2.5 2.6 1.7 2.0 75 3.1 3.2 2.2 2.6 100 3.6 3.7 2.8 3.1 150 4.7 4.8 3.9 4.2
a. The following loads are used for tPXZ:
tPHZ 1K 5 pF 1K tPLZ 5 pF
(c) 2004 QuickLogic Corporation
www.quicklogic.com * *
* * * *
17
QL5130 QuickPCI Data Sheet Rev. C
QL5130 - 144 TQFP Pinout Diagram
Figure 6: 144-pin TQFP PIN #1 PIN #109
QuickPCI QL5130-33APF144C
PIN #37
PIN #73
18 * www.quicklogic.com *
* * *
*
(c) 2004 QuickLogic Corporation
QL5130 QuickPCI Data Sheet Rev. C
QL5130 - 144 TQFP Pinout Table
Table 16: QL5130 - 144 TQFP Pinout Table
Pin 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 Function I/O I/O I/O I/O I/O I/O VCC I/O I/O I/O I/O I/O I/O I/O GND I/O GCLK/I ACLK/I VCC RSTN CLK VCC I/O AD[31] AD[30] AD[29] AD[28] AD[27] AD[26] GND AD[25] AD[24] CBEN[3] IDSEL AD[23] AD[22] Pin 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 Function AD[21] TDI/RSI AD[20] AD[19] AD[18] VCC AD[17] AD[16] CBEN[2] FRAMEN IRDYN TRDYN DEVSELN GND STOPN PERRN SERRN GND PAR CBEN[1] AD[15] VCCIO AD[14] AD[13] AD[12] AD[11] AD[10] AD[9] AD[8] GND CBEN[0] AD[7] AD[6] AD[5] TRSTB/RRO TMS Pin 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 Function AD[4] AD[3] AD[2] AD[1] AD[0] I/O VCC I/O I/O I/O I/O I/O I/O I/O GND I/O GCLK/I ACLK/I VCC GCLK/I GCLK/I VCC I/O I/O I/O I/O I/O I/O I/O GND I/O I/O I/O I/O I/O I/O Pin 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 Function TCK STM I/O I/O I/O VCC I/O I/O I/O I/O I/O I/O I/O GND I/O I/O I/O GND I/O I/O I/O VCCIO I/O I/O I/O I/O I/O I/O I/O GND I/O I/O I/O I/O TDO/RCO I/O
Summary: 47 PCI pins, 71 user I/O, 4 GCLK, and 2 ACLK.
(c) 2004 QuickLogic Corporation
www.quicklogic.com * *
* * * *
19
QL5130 QuickPCI Data Sheet Rev. C
QL5130 - 208 PQFP Pinout Diagram
Figure 7: 208-pin PQFP
PIN #157
PIN #1
QuickPCI QL5130-33APQ208C
PIN # 53
PIN # 105
20 * www.quicklogic.com *
* * *
*
(c) 2004 QuickLogic Corporation
QL5130 QuickPCI Data Sheet Rev. C
QL5130 - 208 PQFP Pinout Table
Table 17: QL5130 - 208 PQFP Pinout Table
Pin 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 Function I/O I/O I/O I/O I/O I/O I/O I/O I/O VCC I/O GND I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O GND I/O RSTN ACLK/I CLK GCLK/I CLK VCC I/O I/O AD[31] AD[30] AD[29] Pin 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 Function AD[28] AD[27] AD[26] AD[25] AD[24] VCC CBEN[3] GND IDSEL AD[23] AD[22] AD[2]1 AD[20] AD[19] AD[18] AD[17] AD[16] CBEN[2] TDI FRAMEN IRDYN TRDYN DEVSELN GND STOPN VCC I/O I/O PERRN I/O SERRN PAR CBEN[1] AD[15] AD[14] Pin 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 Function AD[13] AD[12] GND AD[11] AD[10] AD[9] AD[8] GND CBEN[0] AD[7] AD[6] AD[5] VCCIO AD[4] AD[3] AD[2] AD[1] AD[0] I/O I/O I/O I/O I/O I/O GND I/O VCC I/O I/O I/O I/O I/O TRSTB TMS I/O Pin 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 Function I/O I/O I/O I/O I/O I/O I/O I/O VCC I/O GND I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O GND I/O GCLK/I ACLK/I VCC GCLK/I GCLK/I VCC I/O I/O I/O I/O I/O I/O Pin 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 Function I/O I/O I/O I/O VCC I/O GND I/O I/O I/O I/O I/O I/O I/O I/O I/O TCK STM I/O I/O I/O I/O GND I/O VCC I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O Pin 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 Function I/O GND I/O I/O I/O I/O GND I/O I/O I/O I/O VCCIO I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O GND I/O VCC I/O I/O I/O I/O I/O TDO I/O
Summary: 47 PCI pins, 121 user I/O, 4 GCLK, and 2 ACLK.
(c) 2004 QuickLogic Corporation
www.quicklogic.com * *
* * * *
21
QL5130 QuickPCI Data Sheet Rev. C
QL5130 - 256 PBGA Pinout Diagram
Figure 8: 256-pin PBGA
PIN A1 CORNER
20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 A B C D E F G H J K L
Bottom View
M N P R T U V W Y
22 * www.quicklogic.com *
* * *
*
(c) 2004 QuickLogic Corporation
QL5130 QuickPCI Data Sheet Rev. C
QL5130 - 256 PBGA Pinout Table
Table 18: QL5130 - 256 PBGA Pinout Table
Pin A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 A20 B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 B11 B12 B13 B14 B15 B16 B17 B18 B19 B20 C1 C2 C3 Function GND I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O TCK I/O TDO I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O NC STM NC I/O I/O I/O I/O Pin C4 C5 C6 C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D12 D13 D14 D15 D16 D17 D18 D19 D20 E1 E2 E3 E4 E17 E18 Function I/O I/O I/O I/O I/O VCCIO I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O GND I/O VCC I/O GND I/O I/O VCC I/O GND I/O VCC I/O GND I/O I/O I/O NC I/O I/O I/O I/O I/O Pin E19 E20 F1 F2 F3 F4 F17 F18 F19 F20 G1 G2 G3 G4 G17 G18 G19 G20 H1 H2 H3 H4 H17 H18 H19 H20 J1 J2 J3 J4 J17 J18 J19 J20 K1 K2 K3 K4 K17 K18 K19 K20 L1 Function I/O I/O I/O I/O I/O VCC VCC NC I/O I/O I/O NC I/O I/O I/O I/O NC I/O I/O I/O I/O GND GND I/O I/O I/O I/O I/O NC I/O NC I/O I/O GCLK/I I/O I/O I/O VCC GCLK/I ACLK/I GCLK/I NC CLK Pin L2 L3 L4 L17 L18 L19 L20 M1 M2 M3 M4 M17 M18 M19 M20 N1 N2 N3 N4 N17 N18 N19 N20 P1 P2 P3 P4 P17 P18 P19 P20 R1 R2 R3 R4 R17 R18 R19 R20 T1 T2 T3 T4 Function ACLK/I RSTN GCLK/I VCC I/O I/O I/O I/O I/O I/O NC NC I/O I/O I/O I/O I/O I/O GND GND I/O I/O I/O I/O I/O I/O I/O I/O I/O NC I/O NC I/O I/O VCC VCC I/O I/O I/O NC I/O I/O NC Pin T17 T18 T19 T20 U1 U2 U3 U4 U5 U6 U7 U8 U9 U10 U11 U12 U13 U14 U15 U16 U17 U18 U19 U20 V1 V2 V3 V4 V5 V6 V7 V8 V9 V10 V11 V12 V13 V14 V15 V16 V17 V18 V19 Function I/O I/O NC I/O I/O I/O I/O GND AD[26] VCC AD[22] GND FRAMEN VCC I/O I/O GND AD[11] VCC AD[4] GND I/O I/O I/O I/O NC I/O AD[30] AD[28] AD[24] IDSEL AD[18] AD[16] TRDYN STOPN VCCIO AD[15] AD[13] CBEN[0] AD[6] AD[2] I/O TMS Pin V20 W1 W2 W3 W4 W5 W6 W7 W8 W9 W10 W11 W12 W13 W14 W15 W16 W17 W18 W19 W20 Y1 Y2 Y3 Y4 Y5 Y6 Y7 Y8 Y9 Y10 Y11 Y12 Y13 Y14 Y15 Y16 Y17 Y18 Y19 Y20 Function I/O I/O I/O TDI I/O AD[27] CBEN[3] AD[21] AD[20] CBEN[2] DEVSELN PERRN CBEN[1] PAR AD[10] AD[9] AD[5] AD[1] AD[0] I/O TRSTB I/O NC I/O AD[31] AD[29] AD[25] AD[23] AD[19] AD[17] IRDYN I/O SERRN AD[14] AD[12] AD[8] AD[7] AD[3] I/O I/O NC
Summary: 47 PCI pins, 151 user I/O, 4 GCLK, and 2 ACLK.
(c) 2004 QuickLogic Corporation
www.quicklogic.com * *
* * * *
23
QL5130 QuickPCI Data Sheet Rev. C
Contact Information
Phone: (408) 990-4000 (US) (416) 497-8884 (Canada) +(44) 1932 57 9011 (Europe - except Germany/Benelux) +(49) 89 930 86 170 (Germany/Benelux) +(86) 21 6867 0273 (Asia - except Japan) +(81) 45 470 5525 (Japan) E-mail: Sales: info@quicklogic.com www.quicklogic.com/sales
Support: www.quicklogic.com/support Internet: www.quicklogic.com
Revision History
Revision Rev. A Rev. B Rev. C Date September 1999 December 1999 July 2004 Bernhard Andretzky and Kathleen Murchek Converted to new format. Added Summary to pinout tables. Originator and Comments
Copyright and Trademark Information
Copyright (c) 2004 QuickLogic Corporation. All Rights Reserved. The information contained in this document is protected by copyright. All rights are reserved by QuickLogic Corporation. QuickLogic Corporation reserves the right to modify this document without any obligation to notify any person or entity of such revision. Copying, duplicating, selling, or otherwise distributing any part of this product without the prior written consent of an authorized representative of QuickLogic is prohibited. QuickLogic and the QuickLogic logo, pASIC, ViaLink, DeskFab, QuickRAM, QuickPCI and QuickWorks are registered trademarks of QuickLogic Corporation; Eclipse, EclipsePlus, Eclipse II, QuickFC, QuickDSP, QuickDR, QuickSD, QuickTools, QuickCore, QuickPro, SpDE, WebASIC, and WebESP are trademarks of QuickLogic Corporation.
24 * www.quicklogic.com *
* * *
*
(c) 2004 QuickLogic Corporation


▲Up To Search▲   

 
Price & Availability of QL5130

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X